FINFET Technology - Engineering Seminar


FINFET Technology
ABSTRACT 
The introduction of FinFET Technology has opened new chapters in Nano-technology.  Simulations show that FinFET structure should be scalable down to 10 nm.  Formation of ultra thin fin enables suppressed short channel effects.  It is an attractive successor to the single gate MOSFET by virtue of its superior electrostatic properties and comparative ease of manufacturability.



INTRODUCTION
               Since the fabrication of MOSFET, the minimum channel length has been shrinking continuously. The motivation behind this decrease has been an increasing interest in high speed devices and in very large scale integrated circuits. The sustained scaling of conventional bulk device requires innovations to circumvent the barriers of fundamental physics constraining the conventional MOSFET device structure. The limits most often cited are control of the density and location of dopants providing high I on /I off ratio and finite subthreshold slope and quantum-mechanical tunneling of carriers through thin gate from drain to source and from drain to body. The channel depletion width must scale with the channel length to contain the off-state leakage I off. This leads to high doping concentration, which degrade the carrier mobility and causes junction edge leakage due to tunneling. Furthermore, the dopant profile control, in terms of depth and steepness, becomes much more difficult. The gate oxide thickness tox must also scale with the channel length to maintain gate control, proper threshold voltage VT and performance. The thinning of the gate dielectric results in gate tunneling leakage, degrading the circuit performance, power and noise margin.
Alternative device structures based on silicon-on-insulator (SOI) technology have emerged as an effective means of extending MOS scaling beyond bulk limits for mainstream high-performance or low-power applications .Partially depleted (PD) SOI was the first SOI technology introduced for high-performance microprocessor applications. The ultra-thin-body fully depleted (FD) SOI and the non-planar FinFET device structures promise to be the potential “future” technology/device choices.
         In these device structures, the short-channel effect is controlled by geometry, and the off-state leakage is limited by the thin Si film. For effective suppression of the off-state leakage, the thickness of the Si film must be less than one quarter of the channel length.
The desired VT is achieved by manipulating the gate work function, such as the use of midgap material or poly-SiGe. Concurrently, material enhancements, such as the use of  a) high-k gate material and b) strained Si channel for mobility and current drive improvement, have been actively pursued.
         As scaling approaches multiple physical limits and as new device structures and materials are introduced, unique and new circuit design issues continue to be presented. In this article, we review the design challenges of these emerging technologies with particular emphasis on the implications and impacts of individual device scaling elements and unique device structures on the circuit design. We focus on the planar device structures, from continuous scaling of PD SOI to FD SOI, and new materials such as strained-Si  channel and high-k gate dielectric.

PARTIALLY DEPLETED [PD] SOI
The PD floating-body MOSFET was the first SOI transistor generically adopted for high-performance applications, primarily due to device and processing similarities to bulk CMOS device.
The PD SOI device is largely identical to the bulk device, except for the addition of a buried oxide (“BOX”) layer. The active Si film thickness is larger than the channel depletion width, thus leaving a quasi-neutral “floating” body region underneath the channel. The V T of the device is completely decoupled from the Si film thickness, and the doping profiles can be tailored for any desired VT .    
The device offers several advantages for performance/ power improvement:
      1) reduced junction capacitance,
      2) lower average threshold due to positive V BS during switching.
      3) dynamic loading effects,in which the load device tends to be in high VT  state during
           switching
The performance comes at the cost of some design complexity resulting from the floating body of the device, such as
1) parasitic bipolar effect and
2) hysteretic VT variation.

Parasitic Bipolar Effect
In PDSOI an n-p-n transistor is formed with source and drain as emitter & collector respectively and body as the base. The topology typically involves an “off” transistor with the source and drain voltage set up in the “high” state (hence body voltage at“high”) When the source is subsequently pulled down, large overdrive is developed across the body-source junction, causing bipolar current to flow through the lateral parasitic bipolar transistor.This may result in circuit failure.
                                 In SRAM bitline structures, the aggregate parasitic bipolar effect of the unselected cells on the selected bitline disturbs the read/write operations and limits the number of cells that can be attached to a bitline pair.
Hysteretic  VT  Variation
                     The hysteretic VT variation due to long time constants of various
body charging/discharging mechanisms.
                 A commonly used gauge for hysteretic VT variation (or “history effect” as it is known in the SOI community) is the disparity in the body voltages and delays between the so-called “first switch” and “second switch” . The “first switch” refers to the case where a circuit (e.g., inverter) starts in an initial quiescent state with input “low” and then undergoes an input-rising transition. In this case, the initial dc equilibrium body potential of the switching nMOSFET is determined primarily by the balance of the back-to-back drain-to-body and body-to-source diodes. The “second switch” refers to the case where the circuit is initially in a quiescent state with input “high.” The input first falls and then rises (hence, the name “second switch”). For this case, the preswitch body voltage is determined by capacitive coupling between the drain and the body.
 Input/output waveforms&nMOS body voltage for a PD SOI CMOS inverter under  “first switch” & “second switch” condition is shown above
The duty cycle, slew rate, and output load also affect the hysteretic behavior of the circuits. A higher duty cycle increases hysteretic behavior due to higher switching activity causing a gain or loss of body charge and less time for the
device to return/settle to its initial equilibrium state

SCALING Si FILM: FROM PD SOI TO FD SOI
The major benefits of scaling/thinning of the Si film are: 1) reduction of junction capacitance for performance improvement, 2) better short channel roll-off, and 3) better soft error rate (SER) due to less charge generation and collection volume.
In addition, the history effect (disparity between first switch and second switch) is also reduced. The reduced junction capacitance improves delays of both the first and second switches. However, for the second switch the reduced junction capacitance reduces the capacitive coupling between the drain and the body .The resulting decrease in the pre-switch body voltage for the second switch partially offsets the performance improvement.
Unfortunately, the thinning of Si film degrades the body resistance, rendering body contacts less effective and eventually useless .Self-heating becomes more severe. Furthermore, as the film thickness is scaled below 50nm,the device may become dynamically fully depleted (or quasi-depleted);the body would become fully depleted under certain bias conditions or during certain circuit-switching transients. This necessitates a unified PD|FD device model with smooth and seamless transitions among different modes of operation. Typically, this is modeled by varying the built-in potential between the body and source junction, thus changing the amount of body charges the body-to-source junction diode can sink for a given change in the body potential .The presence of dynamic full depletion also complicates the static timing methodology. The various body voltage bounds, established based on the assumption of partial depletion need to be extended to cover this new phenomenon. Notice that dynamic depletion tends to occur first in long-channel, low VT    devices. For short channel devices, the proximity of the heavily doped “halo” regions to each other increases the effective body doping, and the device is less likely to be dynamically fully depleted. In a FD_SOI device, the channel depletion layer extends through the entire Si film. This significantly reduces the floating body effect (completely eliminating the floating-body effect with ultra-thin Si films). A raised source/drain structure is typically employed to overcome the large source/drain series resistance of the thin Si film. There are two approaches to achieve the desired VT. One can use the traditional dual P+/N+ poly-silicon with a highly doped channel. This approach has several drawbacks and limitations: a) VT would be sensitive to Si film thickness variation, b) high doping degrades the carrier mobility and results in junction edge leakage due to tunneling, and c) in devices with ultra-thin body, the amount of dopant required for the desired VT can not be realistically achieved. Excessively high body doping would turn the device into a “resistor” rather than a “transistor.” Consequently, the preferred and more scalable approach is to build an “undoped” channel with the desired VT set either by the source/drain halo or by the use of midgap gate materials. The use of undoped channel a) reduces the VT sensitivity to Si film thickness variation, b) reduces dopant fluctuation effect, c) reduces transverse electric field and impurity scattering, leading to higher mobility, and d) reduces band-to-band tunneling leakage at the junction edge.                
The use of midgap gate materials may allow the use of a single electrode for both nMOSFETs and pMOSFETs.
As the Si film thickness is reduced, the gate has more control of the channel charges, and the subthreshold slope improves. The channel leakage in the device is limited by the Si film thickness and decreases as the film thickness is reduced. The fringing electric field from source/drain penetrates into the buried oxide underneath the channel, which causes back interface virtual biasing, resulting in increased Ioff   and degraded subthreshold slope. This can be suppressed by thinning the buried oxide at the expense of larger junction capacitance to the substrate. The FD SOI technology is , in general, quite “transparent” for design migration and the challenge is primarily in technology development and manufacturing.

 MAJOR DESIGN ISSUES
Gate Oxide Tunneling Leakage
As the gate oxide thickness is scaled to maintain gate  control VT and performance,
gate insulator direct tunneling leakage increases. Nitrided oxide, which reduces the leakage by any order of magnitude , has been widely used in the industry to contain this leakage. Nevertheless, the oxide tunneling leakage increases by 2 5* for every 0.1 nm decrease in oxide thickness. This amounts to over a 30* increase per technology generation. On the contrary, the channel leakage increases by about 3-5 per technology generation. As such , the oxide tunneling leakage has quickly approached Ioff  and will surpass Ioff   at  room temperature for oxide thickness around 1.0nm or below , thus becoming a serious concern for overall chip leakage.
Furthermore, at 1.0nm, the tunneling leaking for nitrided oxide reaches 100A/cm2,
while the traditional reliability limitation for silicon dioxide gate insulator leakage is 1.0A/cm2  .A recent study showed that, at 100 A/cm2 , static CMOS and domino circuits in bulk CMOS still exhibit “acceptable functionality and noise margin”.
                The oxide tunneling current consists of several components. The electron tunneling
 from the valence band (EVB) generates the substrate current in both nMOS and pMOS .This substrate current component is significantly less than the tunneling current between the gate and the channel, and its effect can usually be neglected in bulk CMOS .In PD SOI devices, however, this substrate current charges or discharges the body, thus changing VT and affecting circuit operation. As this gate-to-body tunneling current has a weaker temperature dependence than the channel current, and other leakage and body charging/discharging current components, its effect is more pronounced at lower temperature.
The detailed study on a 34-kb L1 directory SRAM showed that the presence  of in the gate-to-body tunneling current   resulted in much more significant degradation “write” operation compared with the “read” operation. On the other hand , the initial cycle parasitic   bipolar disturb resulting from the aggregate effect of unselected cells in the same bitline was  reduced.
The gate-to-body tunneling current increases the disparity between the first switch and the second switch.
In FD SOI and FinFET with ultra-thin body, the gate tunneling leakage is significantly reduced. This is because 1) in these, an undoped or very lightly doped body (channel) is used and the depletion charges essentially equal to zero, thus reducing the vertical electric field in the channel, and 2) the quantum confinement effect in ultra-thin Si film results in a broader inversion charge distribution and lower vertical electric field at the  bottom of the inversion layer. Consequently, the gate tunneling leakage is reduced by about 3-4X.If a high-k gate dielectric such as  HfO2 ,the reduction in gate current can exceed an order of magnitude as the increased physical  thickness of the gate dielectric barrier makes the tunneling current more dependent upon the shape
of the potential well in Si. In general, scaling of the body thickness reduces the gate leakage current because the potential well becomes shallower. However, excessive scaling\thinning of body thickness below~5nm increases confinement of carriers toward the gate dielectric interface, and the gate current increases to approach that of the bulk devices. This may not be of concern since 5nm is close to the practical limit of body thickness in actual device technologies.
Self heating
The heat transfer is dominated by phonon transport in semiconductors and by electron transport in metals. The thermal conductivity of the buried oxide (1.4 W/m-.C) is about two orders of magnitude lower than that of Si (120 W/m-.C), giving rise to local self-heating in SOI devices. This is particularly a concern for devices that are “on” most or all the time (e.g., biasing elements, current source, current mirror, bleeder, etc.) and for circuits with high duty cycle and slow slew rate (such as clock distribution, I/O driver).
Scaling of the Si film degrades the thermal conductivity and increases the thermal resistance. In scaled SOI devices, both the channel length and Si film thickness are much smaller than the phonon mean free path for Si (~300 nm at room temperature), and the thermal conductivity is severely degraded due to phonon boundary scattering.
The thermal resistance increase is particularly significant for thinner Si film with thick buried oxide. As the Si film thickness is scaled further to approach the Phonon wavelength (~ tens of nm), the phonon confinement effect becomes significant. This is the mechanical/thermal analogy of the quantum confinement effect in electronic devices with an ultra-thin Si film. The boundary conditions change from the usual periodic boundary conditions for bulk materials to essentially zero displacements on the boundaries in SOI.
Soft Error Rate
                  The α-generated charges in SOI devices are substantially less than in bulk devices due to the presence of the buried oxide, and appreciable charge generation can only occur when an α-particle hits the channel region. While scaling of the device reduces the charge generation volume, the Qcrit also decreases due to a lower capacitance at the cell’s storage node and scaled VDD.
In a  PD SOI device, the total charges accumulated at the cell storage node can be significantly higher than the α-generated charges due to the parasitic bipolar effect. For properly scaled PD SOI devices, the parasitic bipolar gain is reduced, and the resulting overall  single-event-upset-induced failure rate is less than that of bulk silicon. Furthermore, scaling/thinning of the  Si film reduces the charge generation volume and the base-emitter (body-source) junction area of the parasitic bipolar transistor, thus improving SER as well.
Strained-Si channel And High-k Gate
                    Strained-Si surface channel CMOS has recently emerged as an effective means of extending scaling for future high-performance applications due to higher mobility and improved Ion. The lattice mismatch between the Si channel and the underlying relaxed SiGe layer results in biaxial tensile strain, which reduces the intervalley scattering by increasing sub-band splitting and enhances carrier transport by reducing conductivity effective mass.
 
INTRODUCTION TO DOUBLE_GATE CMOS
              Innovative device architectures will be necessary to continue the benefits that previously acquired through rote scaling. Double-gate CMOS (DGCMOS) offers distinct advantages for scaling to very short gate lengths. Furthermore, adoption of gate dielectrics with permittivity substantially greater than that of SiO2 (so-called “high-k materials”) may be deferred if a DGCMOS architecture is employed. Previously, serious structural challenges have made adoption of DGCMOS architecture untenable. Recently, through use of the delta device, now commonly referred to as the FinFET, significant advances in DGCMOS device technology and performance have been demonstrated. Fabrication in FinFET-DGCMOS is very close to that of conventional CMOS process, with only minor disruptions, offering the potential for a rapid deployment to manufacturing. Planar product designs have been converted to FinFET–DGCMOS without disruption to the physical area,
thereby demonstrating its compatibility with today’s planar CMOS design methodology and automation techniques.

Overcoming Obstacles By Doubling Up
                     CMOS technology scaling has traversed many anticipated barriers over the past 20 years to rapidly progress from 2µm to 90 nm rules. Currently, two obstacles, namely subthreshold and gate-dielectric leakages, have become the dominant barrier for further CMOS scaling, even for highly leakage-tolerant application such as microprocessors.

DOUBLE GATE FET
Double-gate CMOS (DGCMOS) offers distinct advantages for scaling  to very short gate lengths. Fabrication of FinFET-DGCMOS is very close to that of conventional CMOS process, with only minor disruptions, offering the potential for a rapid deployment to manufacturing. Planar product designs have been converted to FinFET-DGCMOS without disruption to the physical area, thereby demonstrating its compatibility with today’s planar CMOS design methodology and automation techniques.

Overcoming Obstacles By Doubling Up
CMOS technology scaling has traversed many anticipated barriers over the past 20 years to rapidly progress from 2 µm to 90nm rules. Currently, two obstacles, namely subthreshold and gate-dielectric leakages, have become the dominant barrier for further CMOS scaling, even for highly leakage-tolerant applications such as microprocessors.
Double-gate (DG) FETs, in which a second gate is added opposite the traditional (first) gate,  have better control over short-channel effects [SCEs]. SCE limits the minimum channel length at which an FET is electrically well behaved.

As the channel length of an FET is reduced, the drain potential begins to strongly influence the channel potential, leading to an inability to shut off the channel current with the gate. This short-channel effect is mitigated by use of thin gate oxide (to increase the influence of the gate on the channel) and thin depletion depth below the channel to the substrate, to shield the channel from the drain. Gate oxide thickness has been reduced to the point where, at 90 nm CMOS, the power drain from gate leakage is comparable to the power used for switching of circuits. Thus, further reduction of the thickness would lead to unreasonable power increases.
Alternatively, further decrease of the depletion region XD degrades gate influence on the channel and leads to a slower turn on of the channel region.
In DG-FETs, the longitudinal electric field generated by the drain is better screened from the source end of the channel due to proximity to the channel of the second gate, resulting in reduced short-channel effects, in particular, reduced drain induced- barrier lowering (DIBL) and improved subthreshold swing (S). Therefore, as CMOS scaling becomes limited by leakage currents, DGCMOS offers the opportunity to proceed beyond the performance of single-gate (SG) bulk-silicon or PDSOI CMOS. Both the DIBL and subthreshold swing for the DG device are dramatically improved relative to those of the bulk-silicon counterpart. From a bulk-silicon device design perspective, increased body doping concentration could be employed to reduce DIBL; however, at some point it would also increase the subthreshold swing, thereby requiring higher threshold voltage VT to keep the subthreshold current adequately low. Similarly, decreasing the body doping concentration could improve the subthreshold swing but degrade DIBL. Hence a compromise is necessary for the bulk-silicon device design. Note that, for a scaled bulk-silicon (or PD SOI ) device, a highly doped channel/halo must be used to control severe SCEs, and lower S for extremely short  Leff could not be achieved by use of low channel/halo doping.

 Double_Gate Threshold Voltage
 The very thin silicon body associated with fully depleted DG-FETs  suggests that the centering of VT could be a challenging proposition. Three basic techniques have been explored both theoretically and experimentally, namely, use of body doping, use of asymmetric gate work function, and use of symmetric mid-gap work-function gate-electrodes.
Adequate body doping can be achieved by directly doping the silicon body or by use of “halo” (also known as “pocket”) ion implants introduced laterally from the gate edges, or a combination of these two techniques. One technique uniquely available to DG-FETs is the use of asymmetric gates, wherein the two gate electrodes are of materials of differing work functions.
               Body doping has been the technique of choice for VT centering in both bulk and PD-SOI planar CMOS technologies. Adequate body doping can be achieved by directly doping the silicon body or by use of “halo” ion implants introduced laterally from the gate edges, or a combination of these two techniques.
               Metal gates offer the possibility of centering threshold voltage with asingle work function for both gate electrodes without relying on body doping. Many metals with workfunctions near the middle of the silicon bandgap exist. Use of these metals in SG-FETs is problematic since the VT of such devices is typically above 0.5 V , which is too high for most CMOS applications. Lower VT may be achieved by counter-doping the
body, which results in buried conduction channels in the off-state, thus degrading short channel effects. Metal gates on DG-FETs, on the other hand, naturally achieve the VTs in the vicinity of 0.2 V(the exact value depending on the details) and good short channel characteristics.

DOUBLE-GATE TAXONOMY
Numerous structures for DG-FETs have been proposed and demonstrated. These structures may be classified into one of the three basic categories.
Type I, The Planar DG-FET:
           This is  a direct extension of a planar CMOS process with a second, buried gate                                        
                                                 
Type II, The Vertical DGFET:
                             Here bthe silicon body has been rotated to a vertical orientation on the silicon wafer with the source and drain on the top and bottom boundaries of the body, and the gates on either side.

Type III Non Planar FinFET:
                   In FinFET the silicon body has been rotated on its edge into a vertical orientation so only the source and drain regions are placed horizontally about the body, as in a conventional planar FET.  Referred to as FinFETs as the silicon resembles the dorsal fin of a fish.

FEATURES OF FINFET
Finfet consists of a vertical Si fin controlled by self_aligned double gate.
Main Features of Finfet are

1) Ultra thin Si fin for suppression of short channel effects
2) Raised source/drain to reduce parasitic resistance and improve currrent drive
3) Gate_last process with low_T,high_k gate dielectrics
4) Symmetric gates  yield great performance,but can built asymmetric gates that target VT    
                    Finfets are designed to use multiple fins to achieve larger channel widths.Source/Drain pads connect the fins in parallel. As the number of fins is increased ,the current through the device increases.For eg: A 5 fin device 5 times more current than single fin device.

PROCESS FLOW OF FINFET          
FinFET-DGCMOS Process Flow
A conventional SOI wafer can be used as starting material, except that the alignment notch of the wafer is preferably rotated 45° about the axis of symmetry of the wafer. The reason for this deviation is to provide{100} planes on silicon fins that are oriented along the conventional “x” and “y” directions on the wafer.
The process of defining fins and source/drain silicon is very similar to that used to define trench isolation in today’s CMOS. Patterns are defined and etched into the active top silicon layer in both processes. The conventional process requires additional processing to fill and planarize the isolation trenches; the FinFET process, on the other hand, proceeds directly to channel processing, such as sacrificial oxidations, masked ion implantations for channels, or specialized passive elements, followed by the gate dielectric module.
Gate deposition and etch are very similar, with less-severe demands on the selectivity of the gate-electrode etch to gate oxide, since the oxide surface is orthogonal to the etch direction. Ion implantation of source/drain species and halos( or pockets) must differ for obvious geometrical reason but otherwise are largely similar to conventional planar implantation steps. Conventional CoSi2 or NiSi2 processes are used to silicide the tops of the mesas and the gate, for contacts to source/drain and gate, respectively.

How To Convert Planar To FinFET  Technology
               As described above, FinFET processing on SOI wafers uses standard manufacturing process modules. To etch the ultra thin (TSI=15nm) fins, spacer lithography [side wall image transfer] is used. Since the SIT process always generates an even number of fins, an extra process step is needed for removal of fins to allow odd number of fins or otherwise break fin” “loops” where needed. This means, that for conversion of an existing design, two additional levels have to be introduced, namely the “ fin” and the “Trim” level. All other design levels remain the same.
                 Consider now a planar design to be converted for processing in the 90 nm FinFET technology node. The FinFET height HFin together with the fin pitch  (determined by photolithography) defines the FinFET device width  WFin within the given silicon width of the planar device, to get the same or better device strength . For automatic Fin and Trim generation, Fin-GEN, a software tool, has been developed, which takes the active area and poly gate levels, and, based on special FinFET ground rules, generates the additional levels.the circuit (as well as other β-ratio sensitive circuitry) may additionally require manual adjustment on the number of fins in the N- and P-devices after automatic addition of fins in the N- and P- devices after automatic addition of the FinFET levels.

Besides device width quantization, other factors like width variation, threshold variation, and self-heating must be taken into account when designing with FinFETs. A process with multiple threshold voltages and multiple gate oxide thickness is required to take full advantage of this new device.

As already discussed, the width quantization imposes some restrictions on the device strength flexibility, but most of them can be absorbed easily when converting an existing design or starting a new design, respectively. Of course, as stated earlier, latches, dynamic circuit styles in general, and SRAM cells need careful optimization when designing with FinFETs.

Discrete devices and circuits for analog applications require special attention. As an example, consider a driver/receiver circuit with an ESD protection diode. In a planar process the protection voltage is proportional junction length of the diode. In FinFET technology the same junction length per fin pitch may be only about one-eigth of that of the planar device.

Another example is the total output driver impedance  matching, which is usually implemented with a planar resistor requiring a silicon block resistor, on a silicon island to adjust output impedance (including the wire to the pad) to 50 Ω. For such applications, and analog circuits in general, special devices may be necessary for optimized designs using FinFETs.

APPLICATIONS OF FinFETs
                   DG devices like Fin FETs offer unique opportunities for microprocessor design.compared to a planar process in the same technology node, FinFETs have reduced channel and gate leakage currents. This can lead to considerable power reductions when converting a planar design to fin FET technology.  Utilizing fin FETs would lead to a reduction in total power by a factor of two, without compromising performance.
                   Another possibility to save power arises when both gates can be controlled separately. The second gate can be used to control the threshold voltage of the device, thereby allowing fast switching on one side and reduced leakage currents when circuits are idle.
              Finally, separate access to both gates could also be used to design simplified logic gates. This would also reduce power, and save chip area, leading to smaller, more cost-efficient designs. However chip designs using finFETs must cope with quantization of device width, since every single transistor consists of an integral number of fins,each fin having the same height.

SIMULATION OF VERTICAL DOUBLE-GATE SOI MOSFETS USING DEVICE3D
This article will present the simulation methodology of a self-aligned double-gate MOSFET structure (FinFET) using SILVACO 3-D simulation suite. The double-gate MOSFET is one of the most attractive alternative to classical MOSFET structure for gate length down to 20nm. The main advantage of the FinFET is the ability to drastically reduce the short channel effect. In spite of his double-gate structure, the FinFET is closed to its root, the conventional MOSFET in layout and fabrication. 3-D numerical simulations of the FinFET are performed in this article, in order to validate the basic principles and to uncover several important aspects: evaluation of the length , width and quantum effects.

CONCLUSIONS
              Simulations show that this structure should be scalable down to 10 nm. Formation of ultra thin fin (0.7 Lg, for a lightly doped body) is critical for suppressing short channel effects. This structure was fabricated by forming the S\D before the gate, a technique that may be needed for future high-k dielectric and metal-gate technologies that cannot tolerate the high temperatures required for S\D formation. Further performance improvement is possible by using a thinner gate dielectric and thinner spacers. Despite its double gate structure, the FinFET is similar to the conventional MOSFET with regard to layout and fabrication. It is an attractive successor to the single gate MOSFET by virtue of its superior electrostatic properties and comparative ease of manufacturability. Industrial research groups such as Intel, IBM and AMD have shown interests in developing similar devices, as well as mechanisms to migrate mask layouts from Bulk-MOS to FinFETs. Issues such as gate work function engineering, high quality ultra thin fin lithography and source\drain resistance need to be resolved and a high-yield process flow needs to be established by process researchers before FinFETs can be used in commercial ICs. Device researchers need to understand and model quantum effects, and circuit design researchers need to exploit the packing density afforded by the quasi-planar device to design efficient architectures.

No comments:

Post a Comment

leave your opinion