EUV lithography (EUVL)


EUV lithography (EUVL)

This paper discusses the basic concepts and current state of development of EUV lithography (EUVL), a relatively new form of lithography that uses extreme ultraviolet (EUV) radiation with a wavelength in the range of 10 to 14 nanometers (nm) to carry out projection imaging. Currently, and for the last several decades, optical projection lithography has been the lithographic technique used in the high-volume manufacture of integrated circuits. It is widely anticipated that improvements in this technology will allow it to remain the semiconductor industry's workhorse through the 100 nm generation of devices. However, some time around the year 2005, so-called Next-Generation Lithographies will be required. EUVL is one such technology vying to become the successor to optical lithography. This paper provides an overview of the capabilities of EUVL, and explains how EUVL might be implemented. The challenges that must be overcome in order for EUVL to qualify for high-volume manufacture are also discussed.



Microprocessors, also called computer chips, are made using a process called lithography. Specifically, deep-ultraviolet lithography is used to make the current breed of microchips and was most likely used to make the chip that is inside your computer.

Lithography is akin to photography in that it uses light to transfer images onto a substrate. Silicon is the traditional substrate used in chip making. To create the integrated circuit design that's on a microprocessor, light is directed onto a mask. A mask is like a stencil of the circuit pattern. The light shines through the mask and then through a series of optical lenses that shrink the image down. This small image is then projected onto a silicon, or semiconductor, wafer. The wafer is covered with a light-sensitive, liquid plastic called photoresist. The mask is placed over the wafer, and when light shines through the mask and hits the silicon wafer, it hardens the photoresist that isn't covered by the mask. The photoresist that is not exposed to light remains somewhat gooey and is chemically washed away, leaving only the hardened photoresist and exposed silicon wafer.

The key to creating more powerful microprocessors is the size of the light's wavelength. The shorter the wavelength, the more transistors can be etched onto the silicon wafer. More transistors equal a more powerful, faster microprocessor.

      Deep-ultraviolet lithography uses a wavelength of 240 nanometers As chipmakers reduce to smaller wavelengths, they will need a new chip making technology. The problem posed by using deep-ultraviolet lithography is that as the light's wavelengths get smaller, the light gets absorbed by the glass lenses that are intended to focus it. The result is that the light doesn't make it to the silicon, so no circuit pattern is created on the wafer. This is where EUVL(Extreme Ultraviolet Lithogrphy) will take over. In EUVL, glass lenses will be replaced by mirrors to focus light and thus EUV lithography can make use of smaller wave lengths. Hence more and more transistors can be packed into the chip. The result is that using EUV lithography, we can make chips that are upto 100 times faster than today’s chips with similar increase in storage capacity. 

EXTREME ULTRAVIOLET LITHOGRAPHY

2.1 WHY EUVL?
In order to keep pace with the demand for the printing of ever smaller features, lithography tool manufacturers have found it necessary to gradually reduce the wavelength of the light used for imaging and to design imaging systems with ever larger numerical apertures. The reasons for these changes can be understood from the following equations that describe two of the most fundamental characteristics of an imaging system: its resolution (RES) and depth of focus (DOF). These equations are usually expressed as
RES = k1 λ / NA (1a)
and
DOF = k2 λ / (NA)2, (1b)
where λ is the wavelength of the radiation used to carry out the imaging, and NA is the numerical aperture of the imaging system (or camera). These equations show that better resolution can be achieved by reducing λ and increasing NA. The penalty for doing this, however, is that the DOF is decreased. Until recently, the DOF used in manufacturing exceeded 0.5 um, which provided for sufficient process control.


The case k1 = k2 = ½ corresponds to the usual definition of diffraction-limited imaging. In practice, however, the acceptable values for k1 and k2 are determined experimentally and are those values which yield the desired control of critical dimensions (CD's) within a tolerable process window. Camera performance has a major impact on determining these values; other factors that have nothing to do with the camera also play a role. Such factors include the contrast of the resist being used and the characteristics of any etching processes used. Historically, values for k1 and k2 greater than 0.6 have been used comfortably in high-volume manufacture. Recently, however, it has been necessary to extend imaging technologies to ever better resolution by using smaller values for k1 and k2 and by accepting the need for tighter process control. This scenario is schematically diagrammed in Figure 2.1, where the values for k1 and DOF associated with lithography using light at 248 nm and 193 nm to print past, present, and future CD's

ranging from 350 nm to 100 nm are shown. The "Comfort Zone for Manufacture" corresponds to the region for which k1 > 0.6 and DOF > 0.5 um. Also shown are the k1 and DOF values currently associated with the EUVL printing of 100 nm features, which will be explained later. As shown in the figure, in the very near future it will be necessary to utilize k1 values that are considerably less than 0.5. Problems associated with small k1 values include a large iso/dense bias (different conditions needed for the proper printing of isolated and dense features), poor CD control, nonlinear printing (different conditions needed for the proper printing of large and small features), and magnification of mask CD errors. Figure 2.1 also shows that the DOF values associated with future lithography will be uncomfortably small. Of course, resolution enhancement techniques such as phase-shift masks, modified illumination schemes, and optical proximity correction can be used to enhance resolution while increasing the effective DOF. However, these techniques are not generally applicable to all feature geometries and are difficult to implement in manufacturing. The degree to which these techniques can be employed in manufacturing will determine how far optical lithography can be extended before an NGL is needed.

2.2 EUVL TECHNOLOGY
In many respects, EUVL retains the look and feel of optical lithography as practiced today. For example, the basic optical design tools that are used for EUV imaging system design and for EUV image simulations are also used today for optical projection lithography. Nonetheless, in other respects EUVL technology is very different from what the industry is familiar with. Most of these differences arise because the properties of materials in the EUV are very different from their properties in the visible and UV ranges.

Foremost among those differences is the fact that EUV radiation is strongly absorbed in virtually all materials, even gases. EUV imaging must be carried out in a near vacuum. Absorption also rules out the use of refractive optical elements, such as lenses and transmission masks. Thus EUVL imaging systems are entirely reflective. Ironically, the EUV reflectivity of individual materials at near-normal incidence is very low. In order to achieve reasonable reflectivities near normal incidence, surfaces must be coated with multilayer, thin-film coatings known as distributed Bragg reflectors. The best of these function in the region between 11 and 14 nm. EUV absorption in standard optical photoresists is very high, and new resist and processing techniques will be required for application in EUVL.

Because EUVL utilizes short wavelength radiation for imaging, the mirrors that comprise the camera will be required to exhibit an unprecedented degree of perfection in surface figure and surface finish in order to achieve diffraction-limited imaging. Fabrication of mirrors exhibiting such perfection will require new and more accurate polishing and metrology techniques.
Clearly, then, there are a number of new technology problems that arise specifically because of the use of EUV radiation. Intel has formed a

consortium called the EUV, LLC (the LLC), which currently also includes AMD and Motorola, to support development of these EUV-specific technologies. The bulk of this development work is carried out by three national laboratories functioning as a single entity called the Virtual National Laboratory (VNL). Participants in the VNL are Lawrence Livermore National Laboratory, Sandia National Laboratories, and Lawrence Berkeley National Laboratory. Development work is also carried out by LLC members, primarily on mask fabrication and photoresist development. Recently, additional support for some of this work has come from Sematech. The work described in the following sections was carried out within this program, primarily by workers within the VNL.

2.3 HERE'S HOW EUVL WORKS
1. A laser is directed at a jet of xenon gas. When the laser hits the xenon gas, it heats the gas up and creates a plasma.
                   
2. Once the plasma is created, electrons begin to come off of it and it radiates light at 13 nanometers, which is too short for the human eye to see.

3. The light travels into a condenser, which gathers in the light so that it is directed onto the mask.
4. A representation of one level of a computer chip is patterned onto a mirror by applying an absorber to some parts of the mirror but not to others. This creates the mask.

 5. The pattern on the mask is reflected onto a series of four to six curved mirrors, reducing the size of the image and focusing the image onto the silicon wafer. Each mirror bends the light slightly to form the image that will be transferred onto the wafer. This is just like how the lenses in your camera bend light to form an image on film.

2.4 MULTILAYER REFLECTORS
In order to achieve reasonable reflectivities, the reflecting surfaces in EUVL imaging systems are coated with multilayer thin films (ML's). These coatings consist of a large number of alternating layers of materials having dissimilar EUV optical constants, and they provide a resonant reflectivity when the period of the layers is approximately λ /2. Without such reflectors, EUVL would not be possible. On the other hand, the resonant behavior of ML's complicates the design, analysis, and fabrication of EUV cameras. The most developed and best understood EUV multilayers are made of alternating layers of Mo and Si, and they function best for wavelengths of about 13 nm. Figure 2.3 shows the reflectivity and phase change upon reflection for an Mo:Si ML that has been optimized for peak reflectivity at 13.4 nm at normal incidence; similar resonance behavior is seen as a function of angle of incidence for a fixed wavelength. While the curve shown is theoretical, peak reflectivites of 68% can now be routinely attained for Mo:Si ML's deposited by magnetron sputtering.

CONCLUSION
Successful implementation of EUVL would enable projection photolithography to remain the semiconductor industry's patterning technology of choice for years to come. However, much work remains to be done in order to determine whether or not EUVL will ever be ready for the production line. Furthermore, the time scale during which EUVL, and in fact any NGL technology, has to prove itself is somewhat uncertain. Several years ago, it was assumed that an NGL would be needed by around 2006 -07 in order to implement the 0.1 um generation of chips. Currently, industry consensus is that 193 nm lithography will have to do the job, even though it will be difficult to do so. There has recently emerged talk of using light at 157 nm to push the current optical technology even further, which would further postpone the entry point for an NGL technology. It thus becomes crucial for any potential NGL to be able to address the printing of feature sizes of 50 nm and smaller! EUVL does have that capability.
The battle to develop the technology that will become the successor to 193 nm lithography is heating up, and it should be interesting to watch!



No comments:

Post a Comment

leave your opinion